CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl led

搜索资源列表

  1. 一些译码器源代码

    0下载:
  2. 内有LED译码器,汉明纠错译码器,地址译码器,最高优先译码器,双2-4译码器等VHDL的源代码-decoder, Hamming error correction decoder, address decoder, the highest priority decoder, dual 2-4 decoder such as VHDL source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3903
    • 提供者:蔡孟颖
  1. fpga-example1

    0下载:
  2. 集中了十几个vhdl经典程序,如lcd,led控制程序和多种接口程序-focus of a dozen VHDL classic procedures, such as LCD, led control procedures and multiple interface program
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:66497
    • 提供者:张伟
  1. 7led

    0下载:
  2. 7段发光二极管vhdl程序,可以验证led的fpga验证程序-seven of the light-emitting diode VHDL procedures can verify they simply led to the certification process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:283088
    • 提供者:马永涛
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:44186
    • 提供者:senkong
  1. 08787743vhdl

    0下载:
  2. 用VHDL语言编写的LED显示器驱动电路的设计源程序-using VHDL prepared by the LED display driver circuit design source
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3782
    • 提供者:
  1. VHDL_clock

    0下载:
  2. 用VHDL能进行正常的时、分、秒计时功能、分别有6个数码管显示24小时、60分钟、60秒钟的计数器显示。-VHDL can be used for normal hours, minutes and seconds timing were six LED display 24 hours 60 minutes, 60 seconds showed that the counter.
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:105273
    • 提供者:lianbin
  1. jhvhjhk

    0下载:
  2. 乒乓球游戏机实验报告实验人: 大火虎设计课题: 用VHDL设计一个乒乓球游戏机,用开关来摸拟球手及裁判,用LED来模拟乒乓球,采用每局十一球赛制,比分由七段显示器显示. 设计思路: 采用按功能分块,将整个电路分成若干子程序,利用不同的子程序来实现记分,显示,键盘控制。设计过程: 1) 对4MHZ信号进行分频,得到所需的1HZ,及七段显示器所需的频率.存为CLOCKMAKE.VHD(注:仿真时所加的信号频率比这要高。)。 2) 设计一个子程序来描述裁判,左击球手,右击球手的动作对LED显示的影响,
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1120
    • 提供者:slw
  1. FPGA_drives_LED

    0下载:
  2. 本压缩文件包含:使用VHDL来实现对LED的静态显示,实现对LED的动态显示。-the compressed file contains : VHDL use of LED to achieve the static, LED to achieve the dynamic display.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1376
    • 提供者:卢吉恩
  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. LED_clock_quartus

    0下载:
  2. 用VHDL语言实现数显时钟,devid200.vhd为分频模块,scan.vhd为LED扫描模块,timecount.vhd为计数模块-VHDL digital clock, devid200.vhd for frequency module, scan.vhd for LED scanning module, timecount.vhd for counting module
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3123
    • 提供者:王龙
  1. kehshechenxu

    1下载:
  2. 编制一全双工UART电路,通过试验箱MAX202E转换成RS232电平,与计算机进行通讯实验,设置8个按键,按键值为ASIC码“1”~“8”,通过串口发送给计算机,在计算机上显示键值,同时在数码管最高位显示;计算机可发送“0”~“F”的ASIC码,FPGA接收后在数码管低位显示0~F。通过按键可设置波特率。 要求:波特率为三种 1200、2400、9600,由1个按键选择,3个LED分别指示; 数据格式为1位起始位、8位数据位和一位停止位; 上位计算机发送接收软件可使用
  3. 所属分类:其他

  1. eda.rar

    0下载:
  2. 课程设计-交通灯控制系统的设计帮助理解EDA课程中VHDL语言(Curriculum design - traffic lights control system design to help understand the EDA course VHDL language)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-20
    • 文件大小:245760
    • 提供者:cainiaolaoda
  1. LEDTest2

    0下载:
  2. This is a running 10 bit led on VHDL code including switch to shift from increasing or decreasing
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:7908352
    • 提供者:Chris1234
  1. LED_Display_Design_595Breathe

    1下载:
  2. LED呼吸灯,可更改LED灯位数,呼吸频率,等参数。(LED breathing lamp, can change the number of LED lights, respiratory rate, and other parameters.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:162816
    • 提供者:piao-bht
  1. Desktop

    0下载:
  2. 状态机简单程序轮流点亮LED小灯采用米勒型状态机(VHDL zhuangtaijishixian)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:1024
    • 提供者:西早
  1. character_string

    0下载:
  2. 通过扫描实现LED中汉字显示,硬件外联的简单实现(The realization of Chinese character display in LED by scanning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1679360
    • 提供者:咖啡黑丶
  1. LED_DISP

    0下载:
  2. 输入时钟4MHz,分频至1Hz,对时钟计数,LED显示输出,加使能EN和复位RST(Input clock 4MHz, frequency division to 1Hz, clock count, LED display output, add enable EN and reset RST)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1586176
    • 提供者:MmDawN
  1. 60s qiangdaqi

    0下载:
  2. 1.抢答器同时供N名选手,(此处假设4个)分别用4个按钮S0~?S3表示。? 2.设置一个系统“开始复位”开关S,该开关由主持人控制(当主持人按下该开关后以前的状态复位并且开始计时抢答)。?3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。?(1. Responder at the same time for N players, (here assumed
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:8116224
    • 提供者:drb
  1. SOPC LED实验

    0下载:
  2. 通过Quartus II、SOPC Builder、Nios II IDE三种工 具的配合使用 用软件控制led,VHDL程序通过描述硬件电路控制led的依次亮灭(Through the Quartus II, SOPC Builder, Nios II IDE three workers With the use Use software to control led, VHDL program by describing the hardware circuit control l
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:4795392
    • 提供者:UGG
  1. bysjx

    0下载:
  2. 多路LED灯调光电路,用VHDL语言编写(Multiplex LED light dimming circuit, written in VHDL language)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-03
    • 文件大小:835584
    • 提供者:sunjiacun
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 23 »
搜珍网 www.dssz.com